Itanium

Print Print
Reading time 36:45

Itanium
General information
LaunchedJune 2001
DiscontinuedJuly 2021[1]
Common manufacturer(s)
Performance
Max. CPU clock rate733 MHz to 2.66 GHz
FSB speeds300 MHz to 6.4 GT/s
Architecture and classification
Instruction setItanium
Physical specifications
Cores
  • 1, 2, 4 or 8

Itanium (/ˈtniəm/ eye-TAY-nee-əm) is a family of 64-bit Intel microprocessors that implement the Intel Itanium architecture (formerly called IA-64). Launched in June 2001, Intel marketed the processors for enterprise servers and high-performance computing systems. The Itanium architecture originated at Hewlett-Packard (HP), and was later jointly developed by HP and Intel.

Itanium-based systems have been produced by HP/Hewlett Packard Enterprise (HPE) (the HPE Integrity Servers line) and several other manufacturers. In 2008, Itanium was the fourth-most deployed microprocessor architecture for enterprise-class systems, behind x86-64, Power ISA, and SPARC.[2][needs update]

In February 2017, Intel released the final generation, Kittson, to test customers, and in May began shipping in volume.[3][4] It is used exclusively in mission-critical servers from Hewlett Packard Enterprise.

In 2019, Intel announced that Itanium CPU family shipments will cease on July 29, 2021.[1]

History

Development: 1989–2000

In 1989, HP determined that the Reduced Instruction Set Computing (RISC) architectures were approaching the processing limit at one instruction per cycle. HP researchers investigated a new architecture, later named Explicitly Parallel Instruction Computing (EPIC), that allows the processor to execute multiple instructions in each clock cycle. EPIC implements a form of very long instruction word (VLIW) architecture, in which a single instruction word contains multiple instructions. With EPIC, the compiler determines in advance which instructions can be executed at the same time, so the microprocessor simply executes the instructions and does not need elaborate mechanisms to determine which instructions to execute in parallel.[5] The goal of this approach is twofold: to enable deeper inspection of the code at compile time to identify additional opportunities for parallel execution, and to simplify the processor design and reduce energy consumption by eliminating the need for runtime scheduling circuitry.

HP believed that it was no longer cost-effective for individual enterprise systems companies such as itself to develop proprietary microprocessors, so it partnered with Intel in 1994 to develop the IA-64 architecture, derived from EPIC. Intel was willing to undertake the very large development effort on IA-64 in the expectation that the resulting microprocessor would be used by the majority of enterprise systems manufacturers. HP and Intel initiated a large joint development effort with a goal of delivering the first product, Merced, in 1998.[5]

During development, Intel, HP, and industry analysts predicted that IA-64 would dominate in servers, workstations, and high-end desktops, and eventually supplant RISC and complex instruction set computing (CISC) architectures for all general-purpose applications.[6][7]Compaq and Silicon Graphics decided to abandon further development of the Alpha and MIPS architectures respectively in favor of migrating to IA-64.[8]

Several groups ported operating systems for the architecture, including Microsoft Windows, OpenVMS, Linux, HP-UX, Solaris,[9][10][11]Tru64 UNIX,[8] and Monterey/64.[12] The latter three were canceled before reaching the market. By 1997, it was apparent that the IA-64 architecture and the compiler were much more difficult to implement than originally thought, and the delivery timeframe of Merced began slipping.[13]

Intel announced the official name of the processor, Itanium, on October 4, 1999.[14]

Within hours, the name Itanic had been coined on a Usenet newsgroup, a reference to the RMS Titanic, the "unsinkable" ocean liner that sank on her maiden voyage in 1912.[15] "Itanic" has since often been used by The Register,[16] and others,[17][18][19] to imply that the multibillion-dollar investment in Itanium—and the early hype associated with it—would be followed by its relatively quick demise.

Itanium Server Sales forecast history[20][21]

Itanium (Merced): 2001

Itanium (Merced)
KL Intel Itanium ES.jpg
Itanium processor
General information
LaunchedJune 2001
DiscontinuedJune 2002
Common manufacturer(s)
  • Intel
Performance
Max. CPU clock rate733 MHz to 800 MHz
FSB speeds266 MT/s
Cache
L2 cache96 KB
L3 cache2 or 4 MB
Architecture and classification
Instruction setItanium
Physical specifications
Cores
  • 1
Socket(s)
  • PAC418
Products, models, variants
Core name(s)
  • Merced

By the time Itanium was released in June 2001, its performance was not superior to competing RISC and CISC processors.[22] Itanium competed at the low-end (primarily four-CPU and smaller systems) with servers based on x86 processors, and at the high-end with IBM POWER and Sun Microsystems SPARC processors. Intel repositioned Itanium to focus on the high-end business and HPC computing markets, attempting to duplicate the x86's successful "horizontal" market (i.e., single architecture, multiple systems vendors). The success of this initial processor version was limited to replacing the PA-RISC in HP systems, Alpha in Compaq systems and MIPS in SGI systems, though IBM also delivered a supercomputer based on this processor.[23] POWER and SPARC remained strong, while the 32-bit x86 architecture continued to grow into the enterprise space, building on the economies of scale fueled by its enormous installed base.

Only a few thousand systems using the original Merced Itanium processor were sold, due to relatively poor performance, high cost and limited software availability.[24] Recognizing that the lack of software could be a serious problem for the future, Intel made thousands of these early systems available to independent software vendors (ISVs) to stimulate development. HP and Intel brought the next-generation Itanium 2 processor to the market a year later.

Itanium 2: 2002–2010

Itanium 2 (McKinley)
KL Intel Itanium2.jpg
Itanium 2 processor
General information
Launched2002
Discontinued2010
Designed byIntel
Common manufacturer(s)
  • Intel
Performance
Max. CPU clock rate900 MHz to 2.53 GHz
Cache
L2 cache256 KB on Itanium2
256 KB (D) + 1 MB(I) or 512 KB (I) on (Itanium2 9x00 series)
L3 cache1.5–32 MB
Architecture and classification
Instruction setItanium
Physical specifications
Cores
  • 1, 2, 4 or 8
Socket(s)
  • PAC611
  • FC-LGA6 (LGA1248) (Itanium 9300 series)
Products, models, variants
Core name(s)
  • McKinley
  • Madison
  • Hondo
  • Deerfield
  • Montecito
  • Montvale
  • Tukwila
  • Poulson
Intel Itanium 2 9000 (Heat spreader removed)

The Itanium 2 processor was released in 2002, and was marketed for enterprise servers rather than for the whole gamut of high-end computing. The first Itanium 2, code-named McKinley, was jointly developed by HP and Intel. It relieved many of the performance problems of the original Itanium processor, which were mostly caused by an inefficient memory subsystem. McKinley contains 221 million transistors (of which 25 million are for logic), measured 19.5 mm by 21.6 mm (421 mm2) and was fabricated in a 180 nm, bulk CMOS process with six layers of aluminium metallization.[25]

In 2003, AMD released the Opteron CPU, which implements its own 64-bit architecture called AMD64. The Opteron gained rapid acceptance in the enterprise server space because it provided an easy upgrade from x86. Under the influence of Microsoft, Intel responded by implementing AMD's x86-64 instruction set architecture instead of IA-64 in its Xeon microprocessors in 2004, resulting in a new industry-wide de facto standard.[8]

Intel released a new Itanium 2 family member, codenamed Madison, in 2003. Madison used a 130 nm process and was the basis of all new Itanium processors until Montecito was released in June 2006.

In March 2005, Intel announced that it was working on a new Itanium processor, codenamed Tukwila, to be released in 2007. The Tukwila would have four processor cores and would replace the Itanium bus with a new Common System Interface, which would also be used by a new Xeon processor.[26] Later that year, Intel revised Tukwila's delivery date to late 2008.[27]

In November 2005, the major Itanium server manufacturers joined with Intel and a number of software vendors to form the Itanium Solutions Alliance to promote the architecture and accelerate the software porting effort.[28] The Alliance announced that its members would invest $10 billion in the Itanium Solutions Alliance by the end of the decade.[29]

In 2006, Intel delivered Montecito (marketed as the Itanium 2 9000 series), a dual-core processor that roughly doubled the performance and decreased the energy consumption by about 20 percent.[30]

Intel released the Itanium 2 9100 series, codenamed Montvale, in November 2007.[31] In May 2009, the schedule for Tukwila, its follow-on, was revised again, with the release to OEMs planned for the first quarter of 2010.[32]

Itanium 9300 (Tukwila): 2010

Intel Itanium 9300 CPU
Intel Itanium 9300 CPU LGA
Intel Itanium 9300 Socket Intel LGA 1248
Intel Itanium 9300 with cap removed

The Itanium 9300 series processor, codenamed Tukwila, was released on February 8, 2010, with greater performance and memory capacity.[33]

The device uses a 65 nm process, includes two to four cores, up to 24 MB on-die caches, Hyper-Threading technology and integrated memory controllers. It implements double-device data correction, which helps to fix memory errors. Tukwila also implements Intel QuickPath Interconnect (QPI) to replace the Itanium bus-based architecture. It has a peak interprocessor bandwidth of 96 GB/s and a peak memory bandwidth of 34 GB/s. With QuickPath, the processor has integrated memory controllers and interfaces the memory directly, using QPI interfaces to directly connect to other processors and I/O hubs. QuickPath is also used on Intel processors using the Nehalem microarchitecture, which possibly enabled Tukwila and Nehalem to use the same chipsets.[34] Tukwila incorporates four memory controllers, each of which supports multiple DDR3 DIMMs via a separate memory controller,[35] much like the Nehalem-based Xeon processor code-named Beckton.[36]

Itanium 9500 (Poulson): 2012

The Itanium 9500 series processor, codenamed Poulson, is the follow-on processor to Tukwila and was released on November 8, 2012.[37][failed verification] According to Intel, it skips the 45 nm process technology and uses a 32 nm process technology. It features eight cores and has a 12-wide issue architecture, multithreading enhancements, and new instructions to take advantage of parallelism, especially in virtualization.[34][38][39] The Poulson L3 cache size is 32 MB. L2 cache size is 6 MB, 512 I KB, 256 D KB per core.[40] Die size is 544 mm², less than its predecessor Tukwila (698.75 mm²).[41][42]

At ISSCC 2011, Intel presented a paper called "A 32nm 3.1 Billion Transistor 12-Wide-Issue Itanium Processor for Mission Critical Servers."[40][43] Given Intel's history of disclosing details about Itanium microprocessors at ISSCC, this paper most likely referred to Poulson. Analyst David Kanter speculated that Poulson would use a new microarchitecture, with a more advanced form of multithreading that uses up to two threads, to improve performance for single threaded and multithreaded workloads.[44] Some information was also released at the Hot Chips conference.[45][46]

Information presented improvements in multithreading, resiliency improvements (Intel Instruction Replay RAS) and few new instructions (thread priority, integer instruction, cache prefetching, and data access hints).

Intel's Product Change Notification (PCN) 111456-01 lists four models of Itanium 9500 series CPU, which was later removed in a revised document.[47] The parts were later listed in Intel's Material Declaration Data Sheets (MDDS) database.[48] Intel later posted Itanium 9500 reference manual.[49]

The models are the following:[47][50]

Processor number Frequency Cache
9520 1.73 GHz 20MB
9540 2.13 GHz 24MB
9550 2.40 GHz 32MB
9560 2.53 GHz 32MB

HP vs. Oracle

During the 2012 Hewlett-Packard Co. v. Oracle Corp. support lawsuit, court documents unsealed by a Santa Clara County Court judge revealed that in 2008, Hewlett-Packard had paid Intel around $440 million to keep producing and updating Itanium microprocessors from 2009 to 2014. In 2010, the two companies signed another $250 million deal, which obliged Intel to continue making Itanium CPUs for HP's machines until 2017. Under the terms of the agreements, HP has to pay for chips it gets from Intel, while Intel launches Tukwila, Poulson, Kittson, and Kittson+ chips in a bid to gradually boost performance of the platform.[51][52]

Itanium 9700 (Kittson): 2017

Rumors of a successor to Poulson (code named Kittson) began to circulate in 2012–2013. This was at first associated with a forthcoming 22 nm process shrink, and later revised in the face of declining Itanium sales to a less-ambitious 32 nm node.[53] In April 2015, Intel, although it had not yet confirmed formal specifications, did confirm that it continued to work on the project.[54] Meanwhile, the aggressively multicore Xeon E7 platform displaced Itanium-based solutions in the Intel roadmap.[55]

Intel officially launched the Itanium 9700 series processor family on May 11, 2017.[56][4] Notably, Kittson has no microarchitecture improvements over Poulson, only higher clock speeds.[57]

Intel has announced that the 9700 series will be the last Itanium chips produced.[3][4]

The models are:[58]

Processor number Cores Threads Frequency Cache
9720 4 08 1.73 GHz 20 MB
9740 8 16 2.13 GHz 24 MB
9750 4 08 2.53 GHz 32 MB
9760 8 16 2.66 GHz 32 MB

Market share

In comparison with its Xeon family of server processors, Itanium has never been a high-volume product for Intel. Intel does not release production numbers. One industry analyst estimated that the production rate was 200,000 processors per year in 2007.[59]

According to Gartner Inc., the total number of Itanium servers (not processors) sold by all vendors in 2007, was about 55,000. (It is unclear whether clustered servers counted as a single server or not.) This compares with 417,000 RISC servers (spread across all RISC vendors) and 8.4 million x86 servers. IDC reports that a total of 184,000 Itanium-based systems were sold from 2001 through 2007. For the combined POWER/SPARC/Itanium systems market, IDC reports that POWER captured 42% of revenue and SPARC captured 32%, while Itanium-based system revenue reached 26% in the second quarter of 2008.[60] According to an IDC analyst, in 2007, HP accounted for perhaps 80% of Itanium systems revenue.[30] According to Gartner, in 2008, HP accounted for 95% of Itanium sales.[61] HP's Itanium system sales were at an annual rate of $4.4Bn at the end of 2008, and declined to $3.5Bn by the end of 2009,[62] compared to a 35% decline in UNIX system revenue for Sun and an 11% drop for IBM, with an x86-64 server revenue increase of 14% during this period.

In December 2012, IDC released a research report stating that Itanium server shipments would remain flat through 2016, with annual shipment of 26,000 systems (a decline of over 50% compared to shipments in 2008).[63]

Hardware support

Systems

Server manufacturers' Itanium products
Company Latest product
name from to name CPUs
HP/HPE 2001 present Integrity 1–256
Compaq 2001 2001 ProLiant 590 1–4
IBM 2001 2005 x455 1–16
Dell 2001 2005 PowerEdge 7250 1–4
Hitachi 2001 2008 BladeSymphony
1000
1–8
Unisys 2002 2009 ES7000/one 1–32
SGI 2001 2011 Altix 4000 1–2048
Fujitsu 2005 2011 PRIMEQUEST 1–32
Bull 2002 pre-2015 NovaScale 9410 1–32
NEC 2002 2012 nx7700i 1–256
Inspur 2010 pre-2015 TS10000 2–1024
Huawei 2012 pre-2015 ???? ????

By 2006, HP manufactured at least 80% of all Itanium systems, and sold 7,200 in the first quarter of 2006.[64] The bulk of systems sold were enterprise servers and machines for large-scale technical computing, with an average selling price per system in excess of US$200,000. A typical system uses eight or more Itanium processors.

By 2012, only a few manufacturers offered Itanium systems, including HP, Bull, NEC, Inspur and Huawei. In addition, Intel offered a chassis that could be used by system integrators to build Itanium systems.[65]

By 2015, only HP supplied Itanium-based systems.[54] With HP split in late 2015, Itanium systems (branded as Integrity) are handled by Hewlett-Packard Enterprise (HPE), with recent major update in 2017 (Integrity i6, and HP-UX 11i v3 Update 16). HPE also supports a few other operating systems, including Windows up to Server 2008 R2, Linux, OpenVMS and NonStop. Itanium is not affected by Spectre and Meltdown.[66]

Chipsets

The Itanium bus interfaces to the rest of the system via a chipset. Enterprise server manufacturers differentiate their systems by designing and developing chipsets that interface the processor to memory, interconnections, and peripheral controllers. The chipset is the heart of the system-level architecture for each system design. Development of a chipset costs tens of millions of dollars and represents a major commitment to the use of the Itanium. IBM created a chipset in 2003, and Intel in 2002, but neither of them developed chipsets to support newer technologies such as DDR2 or PCI Express.[67] Before "Tukwila" moved away from the FSB, chipsets supporting such technologies were manufactured by all Itanium server vendors, such as HP, Fujitsu, SGI, NEC, and Hitachi.

The "Tukwila" Itanium processor model had been designed to share a common chipset with the Intel Xeon processor EX (Intel's Xeon processor designed for four processor and larger servers). The goal was to streamline system development and reduce costs for server OEMs, many of which develop both Itanium- and Xeon-based servers. However, in 2013, this goal was pushed back to be "evaluated for future implementation opportunities".[68]

Software support

Itanium is currently supported by the following operating systems:

  • HP-UX 11 (supported until 2025)
  • OpenVMS I64 (supported until 31 December 2024)
  • Gentoo Linux[69]
  • NetBSD (a tier II port[70] that "is a work-in-progress effort to port NetBSD to the Itanium family of processors. Currently no formal release is available."[71])

Previously supported:

  • Windows family
    • Windows XP 64-Bit Edition (unsupported since June 30, 2005)
    • Windows Server 2003 (unsupported since July 14, 2015)
    • Windows Server 2008 (unsupported since January 14, 2020)
    • Windows Server 2008 R2 (unsupported since January 14, 2020, last Windows version to support Itanium processors)
  • Debian (unsupported since Debian 8; reportedly Debian 10 has been ported to Itanium)
  • FreeBSD (unsupported since 31 October 2018)
  • Red Hat Enterprise Linux (unsupported since RHEL 6, had support in RHEL 5 until 2017, which supports other platforms up to November 30, 2020)
  • SUSE Linux 11 (supported until 2019, for other platforms SUSE 11 is supported to 2022).

Compiler

GNU Compiler Collection deprecated support for IA-64 in GCC 10, after Intel announced the planned phase-out of this ISA.[72]LLVM (Clang) dropped Itanium support in version 2.6.[73]

Emulation

HP sells a virtualization technology for Itanium called Integrity Virtual Machines.

Emulation is a technique that allows a computer to execute binary code that was compiled for a different type of computer. Before IBM's acquisition of QuickTransit in 2009, application binary software for IRIX/MIPS and Solaris/SPARC could run via type of emulation called "dynamic binary translation" on Linux/Itanium. Similarly, HP implemented a method to execute PA-RISC/HP-UX on the Itanium/HP-UX via emulation, to simplify migration of its PA-RISC customers to the radically different Itanium instruction set. Itanium processors can also run the mainframe environment GCOS from Groupe Bull and several x86 operating systems via instruction set simulators.

Competition

Area chart showing the representation of different families of micro-
processors in the TOP500 ranking list of supercomputers (1993–2019)

Itanium is aimed at the enterprise server and high-performance computing (HPC) markets. Other enterprise- and HPC-focused processor lines include Oracle's and Fujitsu's SPARC processors and IBM's POWER microprocessors. Measured by quantity sold, Itanium's most serious competition comes from x86-64 processors including Intel's own Xeon line and AMD's Opteron line. Since 2009, most servers were being shipped with x86-64 processors.[62]

In 2005, Itanium systems accounted for about 14% of HPC systems revenue, but the percentage has declined as the industry shifted to x86-64 clusters for this application.[74]

An October 2008 Gartner report on the Tukwila processor, stated that "...the future roadmap for Itanium looks as strong as that of any RISC peer like Power or SPARC."[75]

Supercomputers and high-performance computing

An Itanium-based computer first appeared on the list of the TOP500 supercomputers in November 2001.[23] The best position ever achieved by an Itanium 2 based system in the list was #2, achieved in June 2004, when Thunder (Lawrence Livermore National Laboratory) entered the list with an Rmax of 19.94 Teraflops. In November 2004, Columbia entered the list at #2 with 51.8 Teraflops, and there was at least one Itanium-based computer in the top 10 from then until June 2007. The peak number of Itanium-based machines on the list occurred in the November 2004 list, at 84 systems (16.8%); by June 2012, this had dropped to one system (0.2%),[76] and no Itanium system remained on the list in November 2012.

Processors

Released processors

Itanium 2 mx2 'Hondo' (Top)
Itanium 2 mx2 'Hondo' (Bottom)

The Itanium processors show a progression in capability. Merced was a proof of concept. McKinley dramatically improved the memory hierarchy and allowed Itanium to become reasonably competitive. Madison, with the shift to a 130 nm process, allowed for enough cache space to overcome the major performance bottlenecks. Montecito, with a 90 nm process, allowed for a dual-core implementation and a major improvement in performance per watt. Montvale added three new features: core-level lockstep, demand-based switching and front-side bus frequency of up to 667 MHz.

Codename process Released Clock L2 Cache/
core
L3 Cache/
processor
Bus dies/
dev.
cores/
die
watts/
dev.
Comments
Itanium
Merced 180 nm 2001-06 733 MHz 096 KB none 266 MHz 01 01 116 2 MB off-die L3 cache
800 MHz 130 4 MB off-die L3 cache
Itanium 2
McKinley 180 nm 2002-07-08 900 MHz 256 KB 01.5 MB 400 MHz 01 01 130 HW branchlong
1 GHz 03 MB 130
Madison 130 nm 2003-06-30 1.3 GHz 03 MB 130
1.4 GHz 04 MB 130
1.5 GHz 06 MB 130
2003-09-08 1.4 GHz 01.5 MB 130
2004-04 1.4 GHz 03 MB 130
1.6 GHz
Deerfield 2003-09-08 1.0 GHz 01.5 MB 062 Low voltage
Hondo[77] 2004-Q1 1.1 GHz 04 MB 400 MHz 02 01 260 32 MB L4
Fanwood 2004-11-08 1.6 GHz 03 MB 533 MHz 01 01 130
1.3 GHz 400 MHz 062? Low voltage
Madison 2004-11-08 1.6 GHz 09 MB 400 MHz 130
2005-07-05 1.67 GHz 06 MB 667 MHz 130
2005-07-18 1.67 GHz 09 MB 667 MHz 130
Itanium 2 9000 series
Montecito 090 nm 2006-07-18 1.4 GHz 256 KB (D)+
1 MB (I)
06–24 MB 400 MHz 01 02 104 Virtualization, Multithread, no HW IA-32
1.6 GHz 533 MHz
Itanium 2 9100 series
Montvale 090 nm 2007-10-31 1.42–
1.66 GHz
256 KB (D)+
1 MB (I)
08–24 MB 400–
667 MHz
01 01–2 075–104 Core-level lockstep, demand-based switching
Itanium 9300 series
Tukwila 065 nm 2010-02-08 1.33–
1.73 GHz
256 KB (D)+
512 KB (I)
10–24 MB QPI with
4.8 GT/s
01 02–4 130–185 A new point-to-point processor interconnect, the QPI,
replacing the FSB. Turbo Boost
Itanium 9500 series
Poulson 032 nm 2012-11-08
[78]
1.73–
2.53 GHz
256 KB (D)+
512 KB (I)
20–32 MB QPI with
6.4 GT/s
01 04–8 130–170 Doubled issue width (from 6 to 12 instructions per cycle),
Instruction Replay technology, Dual-domain hyperthreading[79][80][81]
Itanium 9700 series
Kittson 032 nm 2017-05-11
[4]
1.73–
2.66 GHz
256 KB (D)+
512 KB (I)
20–32 MB QPI with
6.4 GT/s
01 04–8 130–170 No architectural improvements over Poulson,
5 % higher clock for the top model
Codename process Released Clock L2 Cache/
core
L3 Cache/
processor
Bus dies/
dev.
cores/
die
watts/
dev.
Comments

Market reception

High-end server market

HP zx6000 system board with dual Itanium 2 processors
Itanium 2 in 2003

When first released in 2001, Itanium's performance was disappointing compared to better-established RISC and CISC processors.[6][7] Emulation to run existing x86 applications and operating systems was particularly poor, with one benchmark in 2001 reporting that it was equivalent at best to a 100 MHz Pentium in this mode (1.1 GHz Pentiums were on the market at that time).[82] Itanium failed to make significant inroads against IA-32 or RISC, and suffered further following the arrival of x86-64 systems which offered greater compatibility with older x86 applications.

In a 2009 article on the history of the processor — "How the Itanium Killed the Computer Industry" — journalist John C. Dvorak reported "This continues to be one of the great fiascos of the last 50 years".[83] Tech columnist Ashlee Vance commented that the delays and underperformance "turned the product into a joke in the chip industry".[61] In an interview, Donald Knuth said "The Itanium approach...was supposed to be so terrific—until it turned out that the wished-for compilers were basically impossible to write."[84]

Both Red Hat and Microsoft announced plans to drop Itanium support in their operating systems due to lack of market interest;[85][86] however, other Linux distributions such as Gentoo and Debian remain available for Itanium. On March 22, 2011, Oracle Corporation announced that it would no longer develop new products for HP-UX on Itanium, although it would continue to provide support for existing products.[87] Following this announcement, HP sued Oracle for breach of contract, arguing that Oracle had violated conditions imposed during settlement over Oracle's hiring of former HP CEO Mark Hurd as its co-CEO, requiring the vendor to support Itanium on its software "until such time as HP discontinues the sales of its Itanium-based servers",[88] and that the breach had harmed its business. In 2012, a court ruled in favor of HP, and ordered Oracle to resume its support for Itanium. In June 2016, Hewlett-Packard Enterprise (the corporate successor to HP's server business) was awarded $3 billion in damages from the lawsuit.[89][90]

A former Intel official reported that the Itanium business had become profitable for Intel in late 2009.[91] By 2009, the chip was almost entirely deployed on servers made by HP, which had over 95% of the Itanium server market share,[61] making the main operating system for Itanium HP-UX. On March 22, 2011, Intel reaffirmed its commitment to Itanium with multiple generations of chips in development and on schedule.[92]

Other markets

HP zx6000, an Itanium 2-based Unix workstation

Although Itanium did attain limited success in the niche market of high-end computing, Intel had originally hoped it would find broader acceptance as a replacement for the original x86 architecture.[93]

AMD chose a different direction, designing the less radical x86-64, a 64-bit extension to the existing x86 architecture, which Microsoft then supported, forcing Intel to introduce the same extensions in its own x86-based processors.[94] These designs can run existing 32-bit applications at native hardware speed, while offering support for 64-bit memory addressing and other enhancements to new applications.[61] This architecture has now become the predominant 64-bit architecture in the desktop and portable market. Although some Itanium-based workstations were initially introduced by companies such as SGI, they are no longer available.

Timeline

1989

  • HP begins investigating EPIC.[5]

1994

  • June: HP and Intel announce partnership.[95]

1995

  • September: HP, Novell, and SCO announce plans for a "high volume UNIX operating system" to deliver "64-bit networked computing on the HP/Intel architecture".[96]

1996

  • October: Compaq announces it will use IA-64.[97]

1997

  • June: IDC predicts IA-64 systems sales will reach $38bn/yr by 2001.[20]
  • October: Dell announces it will use IA-64.[98]
  • December: Intel and Sun announce joint effort to port Solaris to IA-64.[9][10][11]

1998

  • March: SCO admits HP/SCO Unix alliance is now dead.
  • June: IDC predicts IA-64 systems sales will reach $30bn/yr by 2001.[20]
  • June: Intel announces Merced will be delayed, from second half of 1999 to first half of 2000.[99]
  • September: IBM announces it will build Merced-based machines.[100]
  • October: Project Monterey is formed to create a common UNIX for IA-64.

1999

  • February: Project Trillian is formed to port Linux to IA-64.
  • August: IDC predicts IA-64 systems sales will reach $25bn/yr by 2002.[20]
  • October: Intel announces the Itanium name.
  • October: the term Itanic is first used in The Register.[16]

2000

  • February: Project Trillian delivers source code.
  • June: IDC predicts Itanium systems sales will reach $25bn/yr by 2003.[20]
  • July: Sun and Intel drop Solaris-on-Itanium plans.[101]
  • August: AMD releases specification for x86-64, a set of 64-bit extensions to Intel's own x86 architecture intended to compete with IA-64. It will eventually market this under the name "AMD64".

2001

  • June: IDC predicts Itanium systems sales will reach $15bn/yr by 2004.[20]
  • June: Project Monterey dies.
  • July: Itanium is released.
  • October: IDC predicts Itanium systems sales will reach $12bn/yr by the end of 2004.[20]
  • November: IBM's 320-processor Titan NOW Cluster at National Center for Supercomputing Applications is listed on the TOP500 list at position #34.[23]
  • November: Compaq delays Itanium Product release due to problems with processor.[102]
  • December: Gelato is formed.

2002

  • March: IDC predicts Itanium systems sales will reach $5bn/yr by end 2004.[20]
  • June: Itanium 2 is released.

2003

  • April: IDC predicts Itanium systems sales will reach $9bn/yr by end 2007.[20]
  • April: AMD releases Opteron, the first processor with x86-64 extensions.
  • June: Intel releases the "Madison" Itanium 2.

2004

  • February: Intel announces it has been working on its own x86-64 implementation (which it will eventually market under the name "Intel 64").
  • June: Intel releases its first processor with x86-64 extensions, a Xeon processor codenamed "Nocona".
  • June: Thunder, a system at LLNL with 4096 Itanium 2 processors, is listed on the TOP500 list at position #2.[103]
  • November: Columbia, an SGI Altix 3700 with 10160 Itanium 2 processors at NASA Ames Research Center, is listed on the TOP500 list at position #2.[104]
  • December: Itanium system sales for 2004 reach $1.4bn.

2005

  • January: HP ports OpenVMS to Itanium[105]
  • February: IBM server design drops Itanium support.[67][106]
  • June: An Itanium 2 sets a record SPECfp2000 result of 2,801 in a Hitachi, Ltd. Computing blade.[107]
  • September: Itanium Solutions Alliance is formed.[108]
  • September: Dell exits the Itanium business.[109]
  • October: Itanium server sales reach $619M/quarter in the third quarter.
  • October: Intel announces one-year delays for Montecito, Montvale, and Tukwila.[27]

2006

  • January: Itanium Solutions Alliance announces a $10bn collective investment in Itanium by 2010.
  • February: IDC predicts Itanium systems sales will reach $6.6bn/yr by 2009.[21]
  • June: Intel releases the dual-core "Montecito" Itanium 2 9000 series.[110]

2007

  • April: CentOS (RHEL-clone) places Itanium support on hold for the 5.0 release.[111]
  • October: Intel releases the "Montvale" Itanium 2 9100 series.
  • November: Intel renames the family from Itanium 2 back to Itanium.

2009

  • December: Red Hat announces that it is dropping support for Itanium in the next release of its enterprise OS, Red Hat Enterprise Linux 6.[112]

2010

  • February: Intel announces the "Tukwila" Itanium 9300 series.[33]
  • April: Microsoft announces phase-out of support for Itanium.[113]
  • October: Intel announces new releases of Intel C++ Compiler and Intel Fortran Compiler for x86/x64, while Itanium support is only available in older versions.[114]

2011

  • March: Oracle Corporation announces that it will stop developing application software, middleware, and Oracle Linux for the Itanium.[87]
  • March: Intel and HP reiterate their support of Itanium.[115][116]
  • April: Huawei and Inspur announce that they will develop Itanium servers.[117]

2012

  • February: Court papers were released from a case between HP and Oracle Corporation that gave insight to the fact that HP was paying Intel $690 million to keep Itanium on life support.[118]
  • SAP discontinues support for Business Objects on Itanium.[119]
  • September: In response to a court ruling, Oracle reinstitutes support for Oracle software on Itanium hardware.[120]

2013

  • January: Intel cancels Kittson as a 22 nm shrink of Poulson, moving it instead to its 32 nm process.[53]
  • November: HP announces that its NonStop servers will start using Intel 64 (x86-64) chips.[121]

2014

  • July: VMS Software Inc (VSI) announces that OpenVMS will be ported to x86-64.[122]
  • December: HP announces that their next generation of Superdome X and Nonstop X servers would be equipped with Intel Xeon processors, and not Itanium. While HP continues to sell and offer support for the Itanium-based Integrity portfolio, the introduction of a model based entirely on Xeon chips marks the end of an era.[123]

2017

  • February: Intel ships test versions of Kittson, the first new Itanium chip since 2012.[124]
  • May: Kittson formally ships in volume as the Itanium 9700 series. Intel states that Kittson is the final Itanium generation.[3]

2019

  • January: Intel announces Itanium's end of life with additional orders accepted until January 2020 and last shipments no later than July 2021.[1]

2020

  • Hewlett Packard Enterprise (HPE) is accepting the last orders for the latest Itanium i6 servers on December 31, 2020.[125]

See also

  • List of Intel Itanium microprocessors
  • Advanced load address table

References

  1. ^ a b c "Select Intel Itanium Processors and Intel Scalable Memory Buffer, PCN 116733-00, Product Discontinuance, End of Life" (PDF). Intel. January 30, 2019. Retrieved May 20, 2020.
  2. ^ Morgan, Timothy (May 27, 2008). "The Server Biz Enjoys the X64 Upgrade Cycle in Q1". IT Jungle. Archived from the original on March 3, 2016. Retrieved October 29, 2008.
  3. ^ a b c Davis, Lisa M. (May 11, 2017). "The Evolution of Mission Critical Computing". Intel. Retrieved May 11, 2017. ...the 9700 series will be the last Intel Itanium processor.
  4. ^ a b c d Shah, Agam (May 11, 2017). "Intel's Itanium, once destined to replace x86 processors in PCs, hits end of line". PC World. Retrieved May 20, 2020.
  5. ^ a b c "Inventing Itanium: How HP Labs Helped Create the Next-Generation Chip Architecture". HP Labs. June 2001. Retrieved March 23, 2007.
  6. ^ a b De Gelas, Johan (November 9, 2005). "Itanium–Is there light at the end of the tunnel?". AnandTech. Retrieved March 23, 2007.
  7. ^ a b Takahashi, Dean (May 8, 2009). "Exit interview: Retiring Intel chairman Craig Barrett on the industry's unfinished business". VentureBeat. Retrieved May 17, 2009.
  8. ^ a b c "Itanium: A cautionary tale". Tech News on ZDNet. December 7, 2005. Retrieved January 1, 2019.
  9. ^ a b Vijayan, Jaikumar (July 16, 1999). "ComputerWorld: Solaris for IA-64 coming this fall". Linuxtoday. Retrieved October 16, 2008.
  10. ^ a b Wolfe, Alexander (September 2, 1999). "Core-logic efforts under way for Merced". EE Times. Retrieved December 17, 2019.
  11. ^ a b "Sun Introduces Solaris Developer Kit for Intel to Speed Development of Applications On Solaris; Award-winning Sun Tools Help ISVs Easily Develop for Solaris on Intel Today". Business Wire. March 10, 1998. Retrieved June 6, 2016. ...developers can quickly develop applications today that will be compatible with and can easily be tuned for Solaris on Merced.
  12. ^ Shankland, Stephen (January 2, 2002). "Next-generation chip passes key milestone". CNET News. Retrieved November 1, 2007.
  13. ^ Shankland, Stephen (July 8, 1999). "Intel's Merced chip may slip further". CNET News. Retrieved October 16, 2008.
  14. ^ Kanellos, Michael (October 4, 1999). "Intel names Merced chip Itanium". CNET. Retrieved April 30, 2007.
  15. ^ Finstad, Kraig (October 4, 1999). "Re:Itanium". Newsgroup: comp.sys.mac.advocacy. Retrieved May 20, 2020.
  16. ^ a b Pete Sherriff (October 28, 1999). "AMD vs Intel – our readers write". The Register. Retrieved April 6, 2010.
  17. ^ Berlind, David (November 30, 2001). "Interpreting McNealy's lexicon". ZDNet Tech Update. Retrieved March 19, 2007.
  18. ^ Demerjian, Charlie (July 18, 2006). "Itanic shell game continues". The Inquirer. Archived from the original on March 5, 2016. Retrieved February 27, 2016.
  19. ^ Morgenson, Gretchen (October 19, 2003). "Fawning Analysts Betray Investors". The New York Times. Retrieved January 1, 2019.
  20. ^ a b c d e f g h i "Mining Itanium". CNet News. December 7, 2005. Retrieved March 19, 2007.
  21. ^ a b Shankland, Stephen (February 14, 2006). "Analyst firm offers rosy view of Itanium". CNET News. Retrieved March 20, 2007.
  22. ^ Linley Gwennap (June 4, 2001). "Itanium era dawns". EE Times. Retrieved December 17, 2019.
  23. ^ a b c "Titan Cluster Itanium 800 MHz". TOP500 web site. Retrieved May 16, 2007.
  24. ^ Michael Kanellos (December 11, 2001). "Itanium sales off to a slow start". CNET News. Retrieved July 20, 2008.
  25. ^ Naffzinger, Samuel D. et al. (2002). "The implementation of the Itanium 2 microprocessor". IEEE Journal of Solid-State Circuits, vol. 37, no. 11, pp. 1448–1460.
  26. ^ Merritt, Rick (March 2, 2005). "Intel preps HyperTransport competitor for Xeon, Itanium CPUs". EE Times. Retrieved December 17, 2019.
  27. ^ a b Shankland, Stephen (October 24, 2005). "Intel pushes back Itanium chips, revamps Xeon". ZDNet News. Retrieved January 1, 2019.
  28. ^ "Itanium Solutions Alliance". ISA web site. Archived from the original on September 8, 2008. Retrieved May 16, 2007.
  29. ^ Scott, Bilepo (January 26, 2006). "Computing Leaders Announce Strategy for New Era of Mission Critical Computing". Itanium Solutions Alliance Press Release. Archived from the original on January 11, 2012. Retrieved October 16, 2008.
  30. ^ a b Niccolai, James (May 20, 2008). "'Tukwila' Itanium servers due early next year, Intel says". Computerworld. Retrieved November 17, 2017.
  31. ^ Gonsalves, Antone (November 1, 2007). "Intel Unveils Seven Itanium Processors". InformationWeek. Retrieved November 6, 2007.
  32. ^ Demerjian, Charlie (May 21, 2009). "Tukwila delayed until 2010". The Inquirer. Archived from the original on May 23, 2009. Retrieved May 21, 2009.
  33. ^ a b New Intel Itanium Offers Greater Performance, Memory Capacity, By: Jeffrey Burt, 2010-02-08, eWeek
  34. ^ a b Tan, Aaron (June 15, 2007). "Intel updates Itanium line with 'Kittson'". ZDNet Asia. Archived from the original on January 25, 2012. Retrieved June 15, 2007.
  35. ^ Stokes, Jon (February 5, 2009). "Intel delays quad Itanium to boost platform memory capacity". ars technica. Retrieved February 5, 2009.
  36. ^ Ng, Jansen (February 10, 2009). "Intel Aims for Efficiency With New Server Roadmap". DailyTech. Archived from the original on February 13, 2009. Retrieved February 10, 2009.
  37. ^ New Intel Itanium Offers Greater Performance, Memory Capacity: Itanium 9300 Series Brings New Features (page 2) eweek.com, February 8, 2010
  38. ^ "Poulson: The Future of Itanium Servers". realworldtech.com. May 18, 2011. Archived from the original on June 10, 2011. Retrieved May 24, 2011.
  39. ^ "Hot Chips Poulson Disclosure Factsheet" (PDF). Intel press release. August 19, 2011. Archived from the original (PDF) on March 24, 2012. Retrieved August 19, 2011.
  40. ^ a b Riedlinger, Reid J.; Bhatia, Rohit; Biro, Larry; Bowhill, Bill; Fetzer, Eric; Gronowski, Paul; Grutkowski, Tom (February 24, 2011). A 32nm 3.1 billion transistor 12-wide-issue Itanium® processor for mission-critical servers. 2011 IEEE International Solid-State Circuits Conference. pp. 84–86. doi:10.1109/ISSCC.2011.5746230. ISBN 978-1-61284-303-2.
  41. ^ Merrit, Rick (November 23, 2010). "Researchers carve CPU into plastic foil". EE Times. Retrieved December 17, 2019.
  42. ^ O'Brien, Terrence (August 22, 2011). "Intel talks up next-gen Itanium: 32nm, 8-core Poulson". Engadget. Retrieved April 30, 2012.
  43. ^ "ISSCC 2011" (PDF). Retrieved November 17, 2017.
  44. ^ Kanter, David (November 17, 2010). "Preparing for Tukwila: The Next Generation of Intel's Itanium Processor Family". Real World Tech. Archived from the original on November 23, 2010. Retrieved November 17, 2010.
  45. ^ "Itanium Poulson Update — Greater Parallelism, New Instruction Replay & More: Catch the details from Hotchips!". August 19, 2011. Retrieved November 17, 2017.
  46. ^ "Intel Itanium Hotchips 2011 Overview". Retrieved January 23, 2012.
  47. ^ a b Unreleased Intel Itanium 9500-series CPUs spotted
  48. ^ Spotted 9500-series CPUs confirmed to be "Poulson" Itaniums
  49. ^ Intel publishes Itanium 9500 reference manual
  50. ^ "Products formerly Poulson". Intel® ARK (Product Specs). Retrieved May 31, 2017.
  51. ^ "HP Paid Intel $690 Million to Keep Itanium Alive - Court Findings". Archived from the original on March 4, 2016.
  52. ^ Robert McMillan (February 1, 2012). "HP Paid Intel $690 Million To Keep Itanium On Life Support". Wired.
  53. ^ a b "Intel Itanium Processors Update". Intel Corporation. January 31, 2013. Retrieved February 12, 2013.
  54. ^ a b Shilov, Anton (April 17, 2015). "Intel still committed to make new Itanium processors". kitguru.net. Retrieved January 13, 2016. KitGuru Says: Even though it is highly likely that “Kittson” chips will be released, it does not seem that Intel and HP actually want to invest R&D money in boosting performance of IA-64 chips. As a result, it looks like the best thing "Kittson" will offer will be a 20 per cent performance improvement over current gen offerings.
  55. ^ Shah, Agam (February 19, 2014). "Intel's new Xeon server chip pushes Itanium closer to death's door". pcworld.com. PC World. Retrieved January 13, 2016.
  56. ^ "Intel® Itanium® Processor". Intel. Retrieved May 15, 2017.
  57. ^ Cutress, Ian (May 11, 2017). "Intel's Itanium Takes One Last Breath: Itanium 9700 Series CPUs Released". Anandtech. Retrieved May 11, 2017.
  58. ^ "Products formerly Kittson". Intel® ARK (Product Specs). Retrieved May 15, 2017.
  59. ^ Patrizio, Andy (October 12, 2007). "Intel Plows Forward With Itanium". InternetNews.com. Retrieved October 18, 2007.
  60. ^ IDC World Wide Server Tracker, Q2'08
  61. ^ a b c d Vance, Ashlee (February 9, 2009). "Ten Years After First Delay, Intel's Itanium Is Still Late". The New York Times. Retrieved April 1, 2010.
  62. ^ a b Morgan, Timothy Prickett (February 24, 2010). "Gartner report card gives high marks to x64, blades". TheRegister.com. Retrieved February 25, 2010.
  63. ^ Intel shifts gears on Itanium, raising questions about the server chip's future
  64. ^ Vance, Ashlee (June 1, 2006). "HP grabs 90% of 'industry standard' Itanic market". The Register. Retrieved January 28, 2007.
  65. ^ "Intel Server System SR9000MK4U Technical Product Specification". Intel web site. January 2007. Retrieved April 14, 2007.
  66. ^ "Not Vulnerable - Intel Itanium/Secure64 SourceT - Secure 64". Secure 64. January 9, 2018. Retrieved October 4, 2018.
  67. ^ a b Shankland, Stephen (February 25, 2005). "Sources: IBM ditching Itanium altogether". CNET News. Retrieved February 20, 2021.
  68. ^ "Intel backtracks on 'Kittson' Itanium plans, including shift to 22 nanometers."
  69. ^ "Project:IA-64". The Gentoo/IA-64 Project works to keep Gentoo the most up to date and fastest IA-64 distribution available.
  70. ^ "Platforms Supported by NetBSD". www.netbsd.org. Retrieved 2021-03-02.
  71. ^ "NetBSD/ia64". wiki.netbsd.org. Retrieved 2021-03-02.
  72. ^ "Intel Itanium IA-64 Support To Be Deprecated By GCC 10, Planned Removal In GCC 11". Phoronix.
  73. ^ "Remove the IA-64 backend. · llvm/llvm-project@1715115 · GitHub". GitHub. Jul 24, 2009.
  74. ^ Novakovic, Nebojsa (September 25, 2008). "Supercomputing now dominated by X86 architecture". The Inquirer. Archived from the original on September 27, 2008. Retrieved September 27, 2008.
  75. ^ Butler, Andrew (October 3, 2008). "Preparing for Tukwila: The Next Generation of Intel's Itanium Processor Family". Retrieved October 21, 2008.
  76. ^ "Processor Generation / Itanium 2 Montecito". TOP500 web site. Retrieved June 19, 2012.[permanent dead link]
  77. ^ HP rides Hondo to super-sized Itanium servers The Register, May 6, 2004
  78. ^ "New Intel Itanium Processor 9500 Delivers Breakthrough Capabilities for Mission-Critical Computing". Intel. Retrieved November 9, 2012.
  79. ^ Shilov, Anton. "Intel Launches Eight-Core Itanium 9500 "Poulson" Mission-Critical Server Processor". X-bit Labs. Archived from the original on November 10, 2012. Retrieved November 9, 2012.
  80. ^ Kanter, David. "Poulson: The Future of Itanium Servers". Real World Tech. Archived from the original on November 2, 2012. Retrieved November 9, 2012.
  81. ^ Undy, Steve. "WHITE PAPER Intel Itanium Processor 9500 Series" (PDF). Intel. Retrieved November 9, 2012.
  82. ^ "Benchmarks – Itanic 32bit emulation is 'unusable'. No kidding — slower than a P100". January 23, 2001.
  83. ^ Dvorak, John C. (January 26, 2009). "How the Itanium Killed the Computer Industry". PC Mag. Archived from the original on June 8, 2012. Retrieved April 15, 2012.
  84. ^ Knuth, Donald E. (April 25, 2008). "Interview with Donald Knuth". InformIT. Retrieved April 1, 2010.
  85. ^ Reger, Dan (April 2, 2010). "Windows Server 2008 R2 to Phase Out Itanium". Windows Server Blog. Retrieved July 29, 2018.
  86. ^ Timothy Prickett Morgan (December 18, 2009). "Red Hat pulls plug on Itanium with RHEL 6". The Register. Retrieved December 18, 2009.
  87. ^ a b "Oracle stops developing software for Intel's Itanium Chips". Pcworld.com. March 22, 2011. Retrieved April 12, 2011.
  88. ^ "HP wins judgment in Itanium suit against Oracle". Ars Technica. Retrieved July 1, 2016.
  89. ^ "HP awarded $3B in damages from Oracle over Itanium database cancelation". Ars Technica. Retrieved July 1, 2016.
  90. ^ "Oracle Loses $3 Million Verdict For Ditching HP Itanium Chip". Bloomberg. Retrieved July 1, 2016.
  91. ^ Vance, Ashlee (May 21, 2009). "A Decade Later, Intel's Itanium Chip Makes a Profit". The New York Times. Retrieved April 7, 2010.
  92. ^ Darling, Patrick (March 23, 2011). "Intel Reaffirms Commitment to Itanium". Chip Shots. Intel. Retrieved May 20, 2020.
  93. ^ Manek Dubash (July 20, 2006). "Will Intel abandon the Itanium?". Techworld. Archived from the original on February 19, 2011. Retrieved December 19, 2010. Once touted by Intel as a replacement for the x86 product line, expectations for Itanium have been throttled well back.
  94. ^ Demerjian, Charlie (September 26, 2003). "Why Intel's Prescott will use AMD64 extensions". The Inquirer. Archived from the original on October 10, 2009. Retrieved October 7, 2009.
  95. ^ Markoff, John (June 9, 1994). "COMPANY NEWS; Intel Forms Chip Pact With Hewlett-Packard". The New York Times. Retrieved April 26, 2007.
  96. ^ "HP, Novell and SCO To Deliver High-Volume UNIX OS With Advanced Network And Enterprise Services" (Press release). Hewlett-Packard Company; Novell; SCO. September 20, 1995. Retrieved April 25, 2007.
  97. ^ Crothers, Brooke (October 23, 1996). "Compaq, Intel buddy up". CNET News.com. Retrieved November 13, 2007.
  98. ^ Veitch, Martin (May 20, 1998). "Dell will aid Intel with IA-64". ZDNet. Retrieved February 7, 2020.
  99. ^ Lisa DiCarlo (May 28, 1998). "Intel to delay release of Merced". PCWeek Online. Archived from the original on February 19, 2001. Retrieved May 14, 2007.
  100. ^ "IBM Previews Technology Blueprint For Netfinity Server Line". IBM web site. September 9, 1998. Archived from the original on June 2, 2020. Retrieved June 12, 2021.
  101. ^ Stephen Shankland (July 21, 2000). "Sun, Intel part ways on Solaris plans". CNET News.
  102. ^ Kanellos, Michael (November 14, 2001). "Itanium flunking Compaq server tests". News.com. Retrieved November 13, 2007.
  103. ^ "Thunder at TOP500". TOP500 web site. Archived from the original on June 22, 2007. Retrieved May 16, 2007.
  104. ^ "Columbia at TOP500". TOP500 web site. Archived from the original on July 11, 2007. Retrieved May 16, 2007.
  105. ^ Morgan, Timothy (July 6, 2005). "HP Ramps Up OpenVMS on Integrity Servers". ITJungle.com. Archived from the original on May 3, 2007. Retrieved March 29, 2007.
  106. ^ Shankland, Stephen (February 25, 2005). "IBM server design drops Itanium support". CNET News. Retrieved March 19, 2007.
  107. ^ "Result submitted to SPEC on June 13, 2005 by Hitachi". SPEC web site. Retrieved May 16, 2007.
  108. ^ "Itanium Solutions Alliance Formed". Byte and Switch. September 26, 2005. Archived from the original on November 26, 2006. Retrieved March 24, 2007.
  109. ^ Shankland, Stephen (September 15, 2005). "Dell shuttering Itanium server business". CNET News. Retrieved March 19, 2007.
  110. ^ Preimesberger, Chris (July 19, 2006). "Is 'Montecito' Intel's Second Chance for Itanium?". eWeek. Retrieved March 23, 2007.
  111. ^ "CentOS Product Specifications". Centos.org. Archived from the original on September 4, 2011. Retrieved April 12, 2011.
  112. ^ Ricknäs, Mikael (December 21, 2009). "Red Hat to Drop Itanium Support in Enterprise Linux 6". PC World. PCWorld Communications, Inc. Retrieved March 25, 2011.
  113. ^ Niccolai, James (May 8, 2009). "Microsoft Ending Support for Itanium". PCWorld. Retrieved April 5, 2010. Windows Server 2008 R2 will be the last version of Windows Server to support the Intel Itanium architecture," [...] "SQL Server 2008 R2 and Visual Studio 2010 are also the last versions to support Itanium.
  114. ^ "Intel C++ Composer XE 2011 for Linux Installation Guide and Release Notes". Retrieved April 12, 2011.
  115. ^ "Intel Reaffirms Commitment to Itanium". Newsroom.intel.com. March 23, 2011. Archived from the original on March 26, 2011. Retrieved April 12, 2011.
  116. ^ McLaughlin, Kevin (March 28, 2011). "HP CEO Apotheker Slams Oracle For Quitting Itanium". Crn.com. Retrieved April 12, 2011.
  117. ^ Prickett, Timothy (April 14, 2011). "Huawei to forge big red Itanium iron". Theregister.co.uk. Retrieved November 20, 2011.
  118. ^ McMillan, Robert (February 1, 2012). "HP Paid Intel $690 Million To Keep Itanium On Life Support". wired.com. Retrieved February 3, 2012.
  119. ^ "SAP Product availability Matrix". SAPPAM web site. Archived from the original on July 28, 2012. Retrieved June 6, 2012.
  120. ^ "Oracle Issues Statement" (Press release). Oracle Corporation. September 4, 2012. Retrieved March 8, 2013.
  121. ^ "HP NonStop server update". Intel Corporation. November 5, 2013. Retrieved November 5, 2013.
  122. ^ "OpenVMS Rolling Roadmap July 31, 2014" (PDF). vmssoftware.com. July 31, 2014. Archived from the original (PDF) on 2014-12-26. Retrieved July 31, 2014.
  123. ^ Robinson, Daniel (December 5, 2014). "HP's Xeon-based Superdome is another nail in Itanium's coffin". V3.co.uk. Archived from the original on 2014-12-25. Retrieved December 25, 2014.
  124. ^ Agam Shah (February 14, 2017). "Intel ships latest Itanium chip called Kittson, but grim future looms". IDG News. Retrieved April 5, 2017.
  125. ^ Hewlett Packard Enterprise (HPE) Changes May Affect Many OpenVMS Customers

By: Wikipedia.org
Edited: 2021-06-18 18:47:34
Source: Wikipedia.org