Hardware acceleration

Print Print
Reading time 12:15

Hardware acceleration is the use of computer hardware made to perform some functions more efficiently than in software running on a general-purpose central processing unit (CPU). Any transformation of data or routine that can be computed can be calculated purely in software running on a generic CPU, purely in custom-made hardware, or in some mix of both. An operation can be computed faster in application-specific integrated circuits (ASICs) designed or programmed to compute the operation than specified in software and performed on a general-purpose computer processor. Each approach has advantages and disadvantages. The implementation of computing tasks in hardware to decrease latency and increase throughput is known as hardware acceleration.

Typical advantages of software include more rapid development, lower non-recurring engineering costs, heightened portability, and ease of updating features or patching bugs, at the cost of overhead to compute general operations. Advantages of hardware include speedup, reduced power consumption,[1] lower latency, increased parallelism[2] and bandwidth, and better utilization of area and functional components available on an integrated circuit; at the cost of lower ability to update designs once etched onto silicon and higher costs of functional verification, and times to market. In the hierarchy of digital computing systems ranging from general-purpose processors to fully customized hardware, there is a tradeoff between flexibility and efficiency, with efficiency increasing by orders of magnitude when any given application is implemented higher up that hierarchy.[3][4] This hierarchy includes general-purpose processors such as CPUs,[5] more specialized processors such as GPUs,[6]fixed-function implemented on field-programmable gate arrays (FPGAs),[7] and fixed-function implemented on ASICs.[8]

Hardware acceleration is advantageous for performance, and practical when the functions are fixed so updates are not as needed as in software solutions. With the advent of reprogrammable logic devices such as FPGAs, the restriction of hardware acceleration to fully fixed algorithms has eased since 2010, allowing hardware acceleration to be applied to problem domains requiring modification to algorithms and processing control flow.[9][10]

Overview

Integrated circuits can be created to perform arbitrary operations on analog and digital signals. Most often in computing, signals are digital and can be interpreted as binary number data. Computer hardware and software operate on information in binary representation to perform computing; this is accomplished by calculating boolean functions on the bits of input and outputting the result to some output device downstream for storage or further processing.

Computational equivalence of hardware and software

Either software or hardware can compute any computable function. Custom hardware offers higher performance per watt for the same functions that can be specified in software. Hardware description languages (HDLs) such as Verilog and VHDL can model the same semantics as software and synthesize the design into a netlist that can be programmed to an FPGA or composed into logic gates of an ASIC.

Stored-program computers

The vast majority of software-based computing occurs on machines implementing the von Neumann architecture, collectively known as stored-program computers. Computer programs are stored as data and executed by processors, typically one or more CPU cores. Such processors must fetch and decode instructions as well as data operands from memory as part of the instruction cycle to execute the instructions constituting the software program. Relying on a common cache for code and data leads to the von Neumann bottleneck, a fundamental limitation on the throughput of software on processors implementing the von Neumann architecture. Even in the modified Harvard architecture, where instructions and data have separate caches in the memory hierarchy, there is overhead to decoding instruction opcodes and multiplexing available execution units on a microprocessor or microcontroller, leading to low circuit utilization. Modern processors that provide simultaneous multithreading exploit under-utilization of available processor functional units and instruction level parallelism between different hardware threads.

Hardware execution units

Hardware execution units do not in general rely on the von Neumann or modified Harvard architectures and do not need to perform the instruction fetch and decode steps of an instruction cycle and incur those stages' overhead. If needed calculations are specified in a register transfer level (RTL) hardware design, the time and circuit area costs that would be incurred by instruction fetch and decoding stages can be reclaimed and put to other uses.

This reclamation saves time, power and circuit area in computation. The reclaimed resources can be used for increased parallel computation, other functions, communication or memory, as well as increased input/output capabilities. This comes at the opportunity cost of less general-purpose utility.

Emerging hardware architectures

Greater RTL customization of hardware designs allows emerging architectures such as in-memory computing, transport triggered architectures (TTA) and networks-on-chip (NoC) to further benefit from increased locality of data to execution context, thereby reducing computing and communication latency between modules and functional units.

Custom hardware is limited in parallel processing capability only by the area and logic blocks available on the integrated circuit die.[11] Therefore, hardware is much more free to offer massive parallelism than software on general-purpose processors, offering a possibility of implementing the parallel random-access machine (PRAM) model.

It is common to build multicore and manycore processing units out of microprocessor IP core schematics on a single FPGA or ASIC.[12][13][14][15][16] Similarly, specialized functional units can be composed in parallel as in digital signal processing without being embedded in a processor IP core. Therefore, hardware acceleration is often employed for repetitive, fixed tasks involving little conditional branching, especially on large amounts of data. This is how Nvidia's CUDA line of GPUs are implemented.

Implementation metrics

As device mobility has increased, the relative performance of specific acceleration protocols has required new metricizations, considering the characteristics such as physical hardware dimensions, power consumption and operations throughput. These can be summarized into three categories: task efficiency, implementation efficiency, and flexibility. Appropriate metrics consider the area of the hardware along with both the corresponding operations throughput and energy consumed.[17]

Example tasks accelerated

Summing two arrays into a third array

#include <stdio.h>

int main(void)
{

    int arrayOne[] = {1, 2, 3};
    int arrayTwo[] = {4, 5, 6};
    int arraySum[3];

    for (int i = 0; i < 3; i++)
    {
        arraySum[i] = arrayOne[i] + arrayTwo[i];
    }
    
}

Summing one million integers

Suppose we wish to compute the sum of integers. Assuming large integers are available as bignum large enough to hold the sum, this can be done in software by specifying (here, in C++):

constexpr int N = 20;
constexpr int two_to_the_N = 1 << N;

bignum array_sum(const std::array<int, two_to_the_N>& ints) {
    bignum result = 0;
    for (std::size_t i = 0; i < two_to_the_N; i++) {
        result += ints[i];
    }
    return result;
}

This algorithm runs in linear time, in Big O notation. In hardware, with sufficient area on chip, calculation can be parallelized to take only 20 time steps using the prefix sum algorithm.[18] The algorithm requires only logarithmic time, , and space as an in-place algorithm:

parameter int N = 20;
parameter int two_to_the_N = 1 << N;

function int array_sum;
    input int array[two_to_the_N];
    begin
        for (genvar i = 0; i < N; i++) begin
            for (genvar j = 0; j < two_to_the_N; j++) begin
                if (j >= (1 << i)) begin
                    array[j] = array[j] + array[j - (1 << i)];
                end
            end
        end
        return array[two_to_the_N - 1];
    end
endfunction

This example takes advantage of the greater parallel resources available in application-specific hardware than most software and general-purpose computing paradigms and architectures.

Stream processing

Hardware acceleration can be applied to stream processing.

Applications

Examples of hardware acceleration include bit blit acceleration functionality in graphics processing units (GPUs), use of memristors for accelerating neural networks and regular expression hardware acceleration for spam control in the server industry, intended to prevent regular expression denial of service (ReDoS) attacks.[19] The hardware that performs the acceleration may be part of a general-purpose CPU, or a separate unit called a hardware accelerator, though they are usually referred with a more specific term, such as 3D accelerator, or cryptographic accelerator.

Traditionally, processors were sequential (instructions are executed one by one), and were designed to run general purpose algorithms controlled by instruction fetch (for example moving temporary results to and from a register file). Hardware accelerators improve the execution of a specific algorithm by allowing greater concurrency, having specific datapaths for their temporary variables, and reducing the overhead of instruction control in the fetch-decode-execute cycle.

Modern processors are multi-core and often feature parallel "single-instruction; multiple data" (SIMD) units. Even so, hardware acceleration still yields benefits. Hardware acceleration is suitable for any computation-intensive algorithm which is executed frequently in a task or program. Depending upon the granularity, hardware acceleration can vary from a small functional unit, to a large functional block (like motion estimation in MPEG-2).

Hardware acceleration units by application

Application Hardware accelerator Acronym
Computer graphics
  • General-purpose tasks
  • Nvidia graphics cards
  • Ray tracing
Graphics processing unit
  • General-purpose computing on GPU
  • CUDA architecture
  • Ray-tracing hardware
GPU
  • GPGPU
  • CUDA
  • RTX
Digital signal processing Digital signal processor DSP
Analog signal processing Field-programmable analog array
  • Field-programmable RF
FPAA
  • FPRF
Sound processing Sound card and sound card mixer N/A
Computer networking Network processor and network interface controller
  • Network on a chip
  • TCP offload engine
  • I/O Acceleration Technology
NPU and NIC
  • NoC
  • TCPOE or TOE
  • I/OAT or IOAT
Cryptography
  • Encryption
  • Attack
  • Random number generation
Cryptographic accelerator and secure cryptoprocessor
  • Hardware-based encryption
    • AES instruction set
    • SSL acceleration
  • Custom hardware attack
  • Hardware random number generator
N/A
Artificial intelligence AI accelerator
  • Vision processing unit
  • Physical neural network
  • Neuromorphic engineering
N/A
  • VPU
  • PNN
  • N/A
Multilinear algebra Tensor processing unit TPU
Physics simulation Physics processing unit PPU
Regular expressions[19] Regular expression coprocessor N/A
Data compression[20] Data compression accelerator N/A
In-memory processing Network on a chip and Systolic array NoC; N/A
Data processing Data processing unit DPU
Any computing task Computer hardware
  • Field-programmable gate arrays[21]
  • Application-specific integrated circuits[21]
  • Complex programmable logic devices
  • Systems-on-Chip
    • Multi-processor system-on-chip
    • Programmable system-on-chip
HW (sometimes)
  • FPGA
  • ASIC
  • CPLD
  • SoC
    • MPSoC
    • PSoC

See also

  • Coprocessor
  • DirectX Video Acceleration (DXVA)
  • Direct memory access (DMA)
  • High-level synthesis
    • C to HDL
    • Flow to HDL
  • Soft microprocessor
  • Flynn's taxonomy of parallel computer architectures
  • Computer for operations with functions

References

  1. ^ "Microsoft Supercharges Bing Search With Programmable Chips". WIRED. 16 June 2014.
  2. ^ "Embedded". Archived from the original on 2007-10-08. Retrieved 2012-08-18. "FPGA Architectures from 'A' to 'Z'" by Clive Maxfield 2006
  3. ^ "Mining hardware comparison - Bitcoin". Retrieved 17 July 2014.
  4. ^ "Non-specialized hardware comparison - Bitcoin". Retrieved 25 February 2014.
  5. ^ Kim, Yeongmin; Kong, Joonho; Munir, Arslan (2020). "CPU-Accelerator Co-Scheduling for CNN Acceleration at the Edge". IEEE Access. 8: 211422–211433. doi:. ISSN 2169-3536.
  6. ^ Lin, Yibo; Jiang, Zixuan; Gu, Jiaqi; Li, Wuxi; Dhar, Shounak; Ren, Haoxing; Khailany, Brucek; Pan, David Z. (April 2021). "DREAMPlace: Deep Learning Toolkit-Enabled GPU Acceleration for Modern VLSI Placement". IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 40 (4): 748–761. doi:10.1109/TCAD.2020.3003843. ISSN 1937-4151.
  7. ^ Lyakhov, Pavel; Valueva, Maria; Valuev, Georgii; Nagornov, Nikolai (2020-12-18). "A Method of Increasing Digital Filter Performance Based on Truncated Multiply-Accumulate Units". Applied Sciences. 10 (24): 9052. doi:. ISSN 2076-3417. Hardware simulation on FPGA increased the digital filter performance.
  8. ^ Mohan, Prashanth; Wang, Wen; Jungk, Bernhard; Niederhagen, Ruben; Szefer, Jakub; Mai, Ken (October 2020). "ASIC Accelerator in 28 nm for the Post-Quantum Digital Signature Scheme XMSS". 2020 IEEE 38th International Conference on Computer Design (ICCD). Hartford, CT, USA: IEEE: 656–662. doi:10.1109/ICCD50377.2020.00112. ISBN 978-1-7281-9710-4.
  9. ^ Morgan, Timothy Pricket (2014-09-03). "How Microsoft Is Using FPGAs To Speed Up Bing Search". Enterprise Tech. Retrieved 2018-09-18.
  10. ^ "Project Catapult". Microsoft Research.
  11. ^ MicroBlaze Soft Processor: Frequently Asked Questions Archived 2011-10-27 at the Wayback Machine
  12. ^ István Vassányi. "Implementing processor arrays on FPGAs". 1998
  13. ^ Zhoukun WANG and Omar HAMMAMI. "A 24 Processors System on Chip FPGA Design with Network on Chip". [1]
  14. ^ John Kent. "Micro16 Array - A Simple CPU Array"
  15. ^ Kit Eaton. "1,000 Core CPU Achieved: Your Future Desktop Will Be a Supercomputer". 2011. [2]
  16. ^ "Scientists Squeeze Over 1,000 Cores onto One Chip". 2011. [3] Archived 2012-03-05 at the Wayback Machine
  17. ^ Kienle, Frank; Wehn, Norbert; Meyr, Heinrich (December 2011). "On Complexity, Energy- and Implementation-Efficiency of Channel Decoders". IEEE Transactions on Communications. 59 (12): 3301–3310. arXiv:. doi:10.1109/tcomm.2011.092011.100157. ISSN 0090-6778.
  18. ^ Hillis, W. Daniel; Steele, Jr., Guy L. (December 1986). "Data parallel algorithms". Communications of the ACM. 29 (12): 1170–1183. doi:10.1145/7902.7903.
  19. ^ a b "Regular Expressions in hardware". Retrieved 17 July 2014.
  20. ^ "Compression Accelerators - Microsoft Research". Microsoft Research. Retrieved 2017-10-07.
  21. ^ a b Farabet, Clément, et al. "Hardware accelerated convolutional neural networks for synthetic vision systems." Circuits and Systems (ISCAS), Proceedings of 2010 IEEE International Symposium on. IEEE, 2010.

External links

By: Wikipedia.org
Edited: 2021-06-18 19:02:28
Source: Wikipedia.org